==37889== Memcheck, a memory error detector ==37889== Copyright (C) 2002-2017, and GNU GPL'd, by Julian Seward et al. ==37889== Using Valgrind-3.14.0 and LibVEX; rerun with -h for copyright info ==37889== Command: /data/blackswan/ripley/R/R-devel-vg/bin/exec/R --vanilla ==37889== R Under development (unstable) (2019-03-05 r76199) -- "Unsuffered Consequences" Copyright (C) 2019 The R Foundation for Statistical Computing Platform: x86_64-pc-linux-gnu (64-bit) R is free software and comes with ABSOLUTELY NO WARRANTY. You are welcome to redistribute it under certain conditions. Type 'license()' or 'licence()' for distribution details. Natural language support but running in an English locale R is a collaborative project with many contributors. Type 'contributors()' for more information and 'citation()' on how to cite R or R packages in publications. Type 'demo()' for some demos, 'help()' for on-line help, or 'help.start()' for an HTML browser interface to help. Type 'q()' to quit R. > pkgname <- "VDA" > source(file.path(R.home("share"), "R", "examples-header.R")) > options(warn = 1) > library('VDA') Loading required package: rgl > > base::assign(".oldSearch", base::search(), pos = 'CheckExEnv') > base::assign(".old_wd", base::getwd(), pos = 'CheckExEnv') > cleanEx() > nameEx("VDA-package") > ### * VDA-package > > flush(stderr()); flush(stdout()) > > ### Name: VDA > ### Title: Multicategory Vertex Discriminant Analysis > ### Aliases: VDA-package > ### Keywords: package > > ### ** Examples > > #load dataset from package > data(zoo) > > #matrix containing all predictor vectors > x <- zoo[,2:17] > > #outcome class vector > y <- zoo[,18] > > #run VDA (ridge penalty) > out <- vda.r(x, y) > > #Predict five cases based on VDA > fivecases <- matrix(0,5,16) > fivecases[1,] <- c(1,0,0,1,0,0,0,1,1,1,0,0,4,0,1,0) > fivecases[2,] <- c(1,0,0,1,0,0,1,1,1,1,0,0,4,1,0,1) > fivecases[3,] <- c(0,1,1,0,1,0,0,0,1,1,0,0,2,1,1,0) > fivecases[4,] <- c(0,0,1,0,0,1,1,1,1,0,0,1,0,1,0,0) > fivecases[5,] <- c(0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0) > predict(out, fivecases) [1] 1 1 2 4 7 > > #run vda.le (lasso and euclidean penalty) > outLE <- vda.le(x, y) > > #Predict five cases based on VDA > fivecases <- matrix(0,5,16) > fivecases[1,] <- c(1,0,0,1,0,0,0,1,1,1,0,0,4,0,1,0) > fivecases[2,] <- c(1,0,0,1,0,0,1,1,1,1,0,0,4,1,0,1) > fivecases[3,] <- c(0,1,1,0,1,0,0,0,1,1,0,0,2,1,1,0) > fivecases[4,] <- c(0,0,1,0,0,1,1,1,1,0,0,1,0,1,0,0) > fivecases[5,] <- c(0,0,1,0,0,0,1,0,0,0,0,0,0,0,0,0) > predict(outLE, fivecases) [1] 1 1 2 4 7 > > > > cleanEx() > nameEx("cv.vda.le") > ### * cv.vda.le > > flush(stderr()); flush(stdout()) > > ### Name: cv.vda.le > ### Title: Choose the optimal pair of lambdas, lambda_1 and lambda_2 > ### Aliases: cv.vda.le cv.vda.le.default > > ### ** Examples > > ### load zoo data > ### column 1 is name, columns 2:17 are features, column 18 is class > data(zoo) > > ### feature matrix > x <- zoo[,2:17] > > ### class vector > y <- zoo[,18] > > ### lambda vector > lam1 <- (1:5)/100 > lam2 <- (1:5)/100 > > ### Searching for the best pair, using both lasso and euclidean penalizations > cv <- cv.vda.le(x, y, kfold = 3, lam.vec.1 = exp(1:5)/10000, lam.vec.2 = (1:5)/100) ==37889== Invalid read of size 8 ==37889== at 0x1B0623BD: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:567) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== Address 0x170cea90 is 0 bytes after a block of size 240 alloc'd ==37889== at 0x4C2EE3B: malloc (/builddir/build/BUILD/valgrind-3.14.0/coregrind/m_replacemalloc/vg_replace_malloc.c:309) ==37889== by 0x1B062185: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:532) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== ==37889== Invalid read of size 8 ==37889== at 0x1B0623D3: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:567) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== Address 0x170cea98 is 8 bytes after a block of size 240 alloc'd ==37889== at 0x4C2EE3B: malloc (/builddir/build/BUILD/valgrind-3.14.0/coregrind/m_replacemalloc/vg_replace_malloc.c:309) ==37889== by 0x1B062185: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:532) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== ==37889== Invalid read of size 8 ==37889== at 0x1B062A99: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:688) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== Address 0x170cea90 is 0 bytes after a block of size 240 alloc'd ==37889== at 0x4C2EE3B: malloc (/builddir/build/BUILD/valgrind-3.14.0/coregrind/m_replacemalloc/vg_replace_malloc.c:309) ==37889== by 0x1B062185: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:532) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== ==37889== Invalid read of size 8 ==37889== at 0x1B062AB3: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:688) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== Address 0x170cea98 is 8 bytes after a block of size 240 alloc'd ==37889== at 0x4C2EE3B: malloc (/builddir/build/BUILD/valgrind-3.14.0/coregrind/m_replacemalloc/vg_replace_malloc.c:309) ==37889== by 0x1B062185: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:532) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== ==37889== Conditional jump or move depends on uninitialised value(s) ==37889== at 0x1B062B98: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:696) ==37889== by 0x1B06499B: vda_le_ (packages/tests-vg/VDA/src/VDA.f90:762) ==37889== by 0x4977A7: do_dotCode (svn/R-devel/src/main/dotcode.c:1772) ==37889== by 0x4C8AAF: bcEval (svn/R-devel/src/main/eval.c:6771) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== by 0x4DA51E: R_execClosure (svn/R-devel/src/main/eval.c:1780) ==37889== by 0x4DB274: Rf_applyClosure (svn/R-devel/src/main/eval.c:1706) ==37889== by 0x516369: dispatchMethod.isra.5 (svn/R-devel/src/main/objects.c:436) ==37889== by 0x516765: Rf_usemethod (svn/R-devel/src/main/objects.c:486) ==37889== by 0x516AA4: do_usemethod (svn/R-devel/src/main/objects.c:565) ==37889== by 0x4C88E2: bcEval (svn/R-devel/src/main/eval.c:6791) ==37889== by 0x4D896F: Rf_eval (svn/R-devel/src/main/eval.c:620) ==37889== Uninitialised value was created by a stack allocation ==37889== at 0x1B062049: __mm_discriminant_MOD_cyclic_euclidean_vda (packages/tests-vg/VDA/src/VDA.f90:490) ==37889== Error in if (sumcoeff[j] != 0) { : missing value where TRUE/FALSE needed Calls: cv.vda.le -> cv.vda.le.default -> vda.le -> vda.le.default Execution halted ==37889== ==37889== HEAP SUMMARY: ==37889== in use at exit: 100,047,782 bytes in 26,568 blocks ==37889== total heap usage: 80,658 allocs, 54,090 frees, 180,177,967 bytes allocated ==37889== ==37889== LEAK SUMMARY: ==37889== definitely lost: 0 bytes in 0 blocks ==37889== indirectly lost: 0 bytes in 0 blocks ==37889== possibly lost: 0 bytes in 0 blocks ==37889== still reachable: 100,047,782 bytes in 26,568 blocks ==37889== suppressed: 0 bytes in 0 blocks ==37889== Reachable blocks (those to which a pointer was found) are not shown. ==37889== To see them, rerun with: --leak-check=full --show-leak-kinds=all ==37889== ==37889== For counts of detected and suppressed errors, rerun with: -v ==37889== ERROR SUMMARY: 486 errors from 5 contexts (suppressed: 0 from 0)